library ieee;
use ieee.std_logic_1164.all;
entity fengxi is port(
q:in std_logic_vector(5 downto 0);
rst,adjust,clk:in std_logic;
y:out std_logic_vector(7 downto 0));
end;
architecture behavioal of fengxi is
type states is (s0,s1,s2,s3,s4,s5,s6);
signal state:states;
signal k:integer range 0 to 3;
signal en,clk_low,clk_use:std_logic;
signal y_out,y_out1:std_logic_vector(7 downto 0);
begin
process(clk,rst)-分频
begin
if rst='1' then
k=0;clk_low='0';
else
if(clk'event and clk='1') then
if k=3 then
k=0; clk_low=not clk_low;
else
k=k+1;
end if;
end if;
end if;
end process;
process(clk,adjust)==调速
begin
if adjust='1' then
clk_use=clk;end if;
if adjust='0' then
clk_use=clk_low;
end if;
end process;
process(q)-手动
begin
if q="000000" then en='1';else en='0'; end if;
if q="000001" then y_out1="00000001";end if;
if q="000010" then y_out1="00000010";end if;
if q="000100" then y_out1="00000011";end if;
if q="001000" then y_out1="00000100";end if;
if q="010000" then y_out1="00000101";end if;
if q="100000" then y_out1="00000110";end if;
end process;
process(rst)-循环
begin
if (rst='1') then
state=s0;else
if(clk_use'event and clk_use='1') then
case state is
when s0 =
state=s1;
when s1=
state=s2;
when s2=
state=s3;
when s3 =
state=s4;
when s4=
state=s5;
when s5=
state=s6;
when s6=
state=s1;
end case;
end if;
end if;
end process;
process(rst,q)
begin
if rst='1' then
y_out="00000000";
else
case state is
when s0=
y_out="00000000";
when s1=
y_out="00000001";
when s2=
y_out="00000010";
when s3=
y_out="00000011";
when s4=
y_out="00000100";
when s5=
y_out="00000101";
when s6=
y_out="00000110";
end case;
end if;
if en='1' then
y=y_out;end if;
if en='0' then
y=y_out1;end if;
end process;
end;
以上就是本文vhdl语言设计一个8路彩灯控制器,用vhdl语言设计一个彩灯控制器的全部内容
本文链接:https://www.china-share.com/jiaoshizp/kecheng/202305081423954.html
发布于:华宇考试网(https://www.china-share.com/)>>> 教师招聘课程栏目(https://www.china-share.com/jiaoshizp/kecheng/)
投稿人:网友投稿
说明:因政策和内容的变化,上文内容可供参考,终以官方公告内容为准!
声明:该文观点仅代表作者本人,华宇考试网系信息发布平台,仅提供信息存储空间服务。对内容有建议或侵权投诉请联系邮箱:e8548113@foxmail.com
关注本站了解更多关于文vhdl语言设计一个8路彩灯控制器,用vhdl语言设计一个彩灯控制器和教师招聘课程的相关信息。
vhdl语言设计一个8路彩灯控制器? library ieee; use ieee.std_logic_1164.all; entity fengxi is port( q:in std_logic_vector(5 downto 0); rst,adjust,clk:in std_logic; y:out std_logic_vector(7 downto 0)); end; architecture behavioal of fengxi is type states is (s0,s1,s2,s3,...
2023-05-08
济宁金乡在编教师考试都考什么? 的具体是什么时候考?谢谢? 详细整个省统一文化考试的招聘,还要有正式的文件相关要求及规定,目前也不知道 大多数情况下全部在地区的教育网络在线面发布教师招聘的简章的,各地区时...
2023-05-08
小学创客编程课程是什么? 非常好玩的编程课,以游戏形式学了数学、思维逻辑、英语。 网盘资料免费下载 华宇考试网免费资料下载 网盘资料下载 点我进入网盘 ©下载资源版权归作者所有;本站所有资源均来源于网络,仅供学...
2023-05-07
教师退出机制新规定? 凡是满足下方罗列出来的条件的教师,均退出教师机制: 1、已经退休或者因病、因残疾丧失教职能力; 2、无正当理由连续两年不参与年考查且考查结论累计两年不合格; 3、被依法追究刑事责任的; 4、...
2023-05-07
广大亲们我想自学西班牙语,有什么考试教材可以推荐? 要自学吗,可以看看标准西班牙语初级、西班牙语入门。有精力可以报班学习,毕竟比较系统有人答疑,而且,大多数情况下西语培训辅导班都拥有自己的原版考试教材。...
2023-05-06